BÜLENT ÇOBANOĞLU'NUN WEB SİTESİ

 

 

 

 

1.Bilgisayarın Tanımı

2.Mikroişlemciler ve Tarihi gelişimi

3.İntel Mikro İşlemcileri

4.Pentium Mikroişlemcisi

5.Mikro İşlemci Özellikleri

6.Mikroişlemci Destek Devreleri

7.Günümüz  Mikroişlemcileri

 

 

 

 

 

 

 

 

 

 

Bilgisayarın Tanımı

           Bilgisayar ;Elektrik akımı ile çalışıp, çok sayıda bilgiyi depolayabilen ,özel komutlarıyla belli bir mantığa dayalı olarak programlandığında,program doğrultusunda çok seri olarak verileri bilgileri işleyip,sonuca ulaşabilen bir makinedir.               

 

                             Bilgisayarı özellikleri :

1-)Elektrik akımı ile çalışır.

2-)Çok sayıda bilgiyi saklar.

3-)Çok güçlü hafızaları vardır,Fiziksel bir etki olmadıkça saklanan bilgiler unutulmazlar.

4-)Çok hızlıdırlar. Günümüzde dakikada 2.500.000 işlem yapabilen bilgisayarlar mevcuttur.

5-)Kullanıcılar tarafından programlanabilirler.

6-)Progranm doğrultusunda çok seri olarak çalışıp,çok sayıda veriyi hatasız olarak işleyip sonucu kullanıcıya ulaştırır.

7-)Son derece güvenilirler.

 

           Bilgisayarın en temel özelliği ;Hız ,Güvenirlik,Depolama / Saklama yetenekleridir. Bunun yanında Verimlilik,Karar verme,Maliyetlerdeki azalmada unutulmamalıdır.

 

                             Bilgisayarın İşlevleri

      Bilgisayarın 4 işlevi bulunur. Bunlar;

                   a-)Giriş/Çıkış işlevleri

                   b-)Aritmetik işlemler yapabilmesi

                   c-)Karşılaştırma(Mantık) işlevleri

                   d-)Bilgi depolama ve depolanan bilgiye erişim işlevi

    

     Bilgisayar bu işlevleri yapmak için 2 ana kaynaktan yararlanır.

                   1-Donanım(Hardware)

                   2-Yazılım(Software)  

 

                   A-) Donanım ;Bilgisayarın elle tutulur, gözle görülür,mekanik,magnetik veya elektronik olabilen fiziksel parçalarıdır. Bilgisayar kasası(Main board),Giriş-Çıkış üniteleri gibi birimler donanımın parçalarıdır.


 

                                                   Şekil-Bilgisayarı Yapısı

 

                       Bilgisayar temel  olarak şu donanım elemanlarından oluşur.

 

·        Anakart (Mainboard or MotherBoard)

·        Merkezi İşlemci Birimi (CPU-Central Processing Unit)

·        Matematik İşlemci (FPU-Floating Point Unit)

·        Ana Bellek(RAM-Random Access Memory)

·        Yalnız Okunabilir Bellek(ROA-Read Only Memory)

·        Monitor ve Ekran Kartı(Monitor and Screen Card)

·        Giriş/Çıkış Kartı (I/O Card)

·        Disket Sürücü(Floppy Disk Drive)

·        Sabit Disk(HardDisk or Fixed Disk)

·        Hoparlör(Speaker)

·        Güç Kaynağı (Power Supply)

·        Klavye (KeyBoard)

·        Fare(Mouse)

 

           Anakart,bazı donanım birimlerini üzerinde bulundurur ve bir çoğunun da kendisine monte edilerek  CPU tarafından kullanılmasını sağlar.

          

           Merkezi işlemci birimi,bilisayardaki bütün donanımlara hükmeder ve onları

kombineli bir şekilde çalıştırır. Ayrıca bilgisayardaki aritmetik ve mantık işlemlerini de bu birim yapar.    

          

           Matematik işlemci,CPU’nun yapamadığı kesirli sayılar ve trigonometrik fonksiyonlar gibi yoğun matematik işlemlerini yapar. Matematik işlemci(FPU)

günümüzdeki bilgisayarlarda Mikroişlemcilerin içinde yer alır.   

          

           Ana Bellek,CPU’nun verileri çok hızlı olarak işleyip tekrar koyduğu  ve bilgileri ancak bilgisayar kapanıncaya kadar tutabilen dananım birimidir.

          

           Yalnız okunabilir bellek,belleğe programlar bir defa üretici firma tarafından yazılır ve kullanıcı tarafından içeriği değiştirilemez. Bu bellek bilgisayarın yapacağı en temel işlemleri tanımlar.

          

           Ekran ve Ekran kartı,Monitör;bilgisayarla kullanıcı arasında iletişim kurarak  kullanıcının bilgisayardaki çıktıları görsel olarak almasını sağlar. Ekran kartı; görüntülenecek bilgilerin monitöre  gönderilmesini sağlar.              

          

           I/O Kartı,bilgisayara paralel ve seri giriş yapılabilmesini sağlar.  

          

           Disket sürücü,disketlerin bilgisayarda kullanılabilmesini sağlayan donanım birimleridir.

          

           Sabit disk,bilgilerin kalıcı olarak saklandığı donanım birimleridir. 

          

           Hoparlör,basit sesleri çıkarabilmek için  kasanın içine yerleştirilmiş ve çıkardığı ses ancak “biip” olan donanım elemanlarıdır.

          

           Güç kaynağı,normal elektriği doğru akıma çevirerek bilgisayara gerekli olan gücü sağlar.

          

           Klavye,veri ve komutların bilgisayara girilmesini sağlar. 

          

           Fare,grafik tabanlı işletim sistemleri ve programları için fare kullanılır. Fare uygulamaları seçmeye veya taşımaya vb..işlemler için kullanılır.

 

                   B-)Yazılım ;Bir bilgisayarın işe yaraması için gereken ve gerekebilecek,bilgisayarın ne yapması gerektiğini belirleyen programların tümüne birden yazılım denir.  

 

 


                             Şekil-1  Bilgisayar Sisteminin Yapısı

                     

   

Mikroişlemciler ve Tarihi gelişimi:

            

 

           Mikroişlemci;Bilgisayar sistemindeki olayları tanzim ve kontrol eden bir beyindir.

          

           Mikroişlemcilerin yapımı,entegre teknolojisindeki geilşmelerin sonucu mikrochiplerin yapımı ile gerçekleşmiştir. Önceleri LSI(Large Scale Integration-Geniş hacimli entegre) teknelojisi ve günümüzde VLSI teknelojisiyle üretilen  ve 1995 yılı itibariyle içerisinde milyonlarca kazanç elemanı transistörlerden meydana gelen elektronik bir elemandır.

          

           Mikroişlemciler,mikrobilgisayarın ana işlem birimi olup,CPU(Central Processing Unit) olarak belirtilirler. Yarı iletken teknolojisindeki gelişmelere paralel olarak 8,12,16,32,ve 64 bitlik mikroişlemciler üretilmiştir. Programlanabilen bu aygıt,kendisine verilen komutları sırayla işletir ve bu komutlarla işlem yaparlar.   

          

           En popüler olan ve genellikle Amerikan şirketlerince üretilen mikroişlemciler,

MOTOROLA,INTEL,AlphaDEC,CYRİX,AMD,ZİLOG VE diğerleridir.

           Günümüz bilgisayarlarında en çok kullanılanlar ;MOTOROLA firmasınca üretilen,önceleri 680*0 kodlu olan ve 90’lı yıllarda Power PC olarak anılan işlemciler ve İNTEL firması tarafından üretilen önceleri 80*86 koduyla üretilen ve 90’lı yıllarda

Pentium adıyla anılan ve günümüzde PX olarak lanse edilen mikroişlemcilerdir. Buradaki X karakteri sayısal  bir değeri ifade etmektedir. Bu iki işlemcinin diğerlerine nazaran fazla kullanılır olmasının sebebi,dünyaca ünlü iki bilgisayar firmasının makinalarında bu iki ismi tercih etmeleridir. APPLE/Macintosh firmasınca üretilen bilgisayarlarda başlangıcından günümüze kadar MOTOROLA tercih edilmiştir. İkincisi ise IBM firmasının bütün makinalarında İNTEL’in mikroişlemcilerini tercih etmiştir.                                                            

 


                                 

 Şekil-2  İşlemcilerin Tarihi Gelişimi             

Mikroişlemcilerin Tarihi Gelişimi ve Mikroişlemci Özelliklerini Belirleyen Etkenler

 

Bilgisayarlarda ve diğer mikroişlemcili sistemlerde, aritmetik ve mantık işlemlerinin yapıldığı ve yapılan işlemlerin denetlendiği birim, ‘merkezi işlem birimi’ ve ‘mikroişlemci’ olarak adlandırılır. Diğer bir bakış açısıyla mikroişlemci; ikili sayılar şeklinde kodlanmış komutları ve verileri işlemek veya yorumlamak için gerekli mantıksal devreleri içeren, milyonlarca transistörden meydana gelen elektronik bir elemandır. Mikroişlemcili sistemlerin ve basta bilgisayarın en önemli parçası olan bu elektronik eleman, başlangıçtan günümüze kadar hızlı bir gelişim süreci geçirmiştir. Bu bölümde, mikroişlemcinin geçirdiği gelişim sürecini ve mikroişlemcinin özelliklerini belirlerken kullanacağımız kriterleri ve kavramları inceleyelim.

 

2.1.  Mikroişlemcilerin  Tarihi Gelişimi

Bilgisayarın en önemli parçası mikroişlemci, çok karmaşık bir yapıya sahiptir ve günümüzde Intel, Motorola, AMD, CYRIX, vb. firmalar tarafından geliştirilmekte ve piyasaya sürülmektedir. Günümüzde, mikroişlemcili sistemlerde yaygın olarak kullanılan mikroişlemciler; Intel tarafından üretilen Pentium  X ve Motorola tarafından üretilen Power PC veya M680XO kodlu mikroişlemcileri (genellikle sanayide kontrol amaçlı) olmakla birlikte, diğer firmaların ürettikleri mikroişlemcilerde piyasada kullanılmaktadır. Yaygın olarak kullanılan mikroişlemcileri incelemeden önce, mikroişlemcinin günümüze gelinceye kadar geçirdiği aşamaları genel hatları ile incelemek mikroişlemciyi ve özelliklerini anlamamıza yardımcı olacaktır.

Mikroişlemcilerin tarihi gelişimini, bir kerede işleyebildikleri bit sayısına göre sınıflandırılmalarını referans olarak inceleyelim. Mikroişlemeciler, bir kerede işleyebildikleri bit sayısı referans alınarak üç grup altında incelenebilir:

i- 8 bit Mikroişlemciler,

ii- 16 bitlik Mikroişlemciler,

iii- 32 bit Mikroişlemciler,

2.1.1.8 Bit Mikroişlermciler

Merkezi işlem biriminin tek bir entegre içerisine yerleştirilmesi ile ilk mikroişlemci üretimi başladı. İlk mikroişlemci, bir hesap makinasında kullanılmak  üzere sipariş üzerine 1971 yılında Intel firması tarafından üretilen 4004 mikroişlemcisi idi. 4 bit kelime işleme kapasitesine ve 640 Byte adres alanına sahip bu işlemciyi, 1972 yılında yine Intel firması tarafından üretilen 8 bitlik ilk işlemci olan 8008 mikroişlemcisinin üretimi takip etti. Her iki mikroişlemcide özel uygulamalar için geliştirilmişti.

            İlk üretilen mikroişlemcilere olan büyük ilgi, 8 bitlik fakat daha fazla bellek adresleme kapasitesine sahip 8080 mikroişlemcisinin genel amaçlı olarak 1974 yılında piyasaya sürülmesini sağladı. Kısa süre içerisinde 8 bitlik mikroişlemciler için endüstri standardı olan 8080 mikroişlemcisini, 1976 yılında piyasaya sürülen ve 8080’nin gelişmiş bir versiyonu olan 8085 mikroişlemcisi takip etti.

            Intel firması 8080 mikroişlemcisini üretirken, Motorola firması 6800 mikroişlemcisini piyasaya sürdü. 8080 mikroişlemcisine göre daha üstün özelliklere sahip 6800 mikroişlemcisi, 1970’li yıllarda yaygın olarak kullanıldı.

            Intel ve Motorola firmaları yanında, piyasanın ihtiyacını karşılamak üzere Zilog firması Z-80 ve Mostek firması 6502 mikroişlemcilerini (her ikiside 8 bit) piyasaya sürdüler. Açıklanan mikroişlemcilerden, 8080 ve Z80 mikroişlemcilerinin çok sayıda kaydedici içermesi ve işlemlerin kaydedicilerden faydalanılarak gerçekleştirilmesi nedeni ile,                      ‘kaydediciye dayalı mimariye sahip mikroişlemciler’ olarak isimlendirilir. 6500 ve 6800 serisi mikroişlemciler ise, daha fazla adresleme yöntemi kullanmaları ve komutların adreslere dayalı olarak oluşturulması nedeni ile, ‘belleğe dayalı mimariye sahip mikroişlemciler’ olarak anılır.

 

Her birisi kendine özgü değişik uygulama alanları bulunan 8 bitlik mikroişlemcilerin genel özellikleri Tablo 1’de özetlenmektedir.

 

 

 

Tablo 1: 8 bitlik mikroişlemciler ve genel özellikleri.

 

2.1.2.16 Bit Mikroişlemciler

            İlk 16 bitlik mikroişlemci, 1978 yılında Irtel firması tarafından NMOS teknolojisi ile üretilen 8086 işlemcisidir. 8080/8088 ile 8086 mikroişlemcileri uyumlu değildir.

 8086  işlemcisini, 1979 yılında Motorolanın ürettiği 6809 ve 68000 serisi mikroişlemciler takip etti. 68000 serisi mikroişlemciler, eski mikroişlemciler ile uyum problemini düşünmüyordu ve tamamıyla yeni bir yapıya sahipti. Diğer firmalarda 16 bitlik işlemci üretmesine rağmen, en yaygın kullanılan 16 bitlik işlemciler; Intel 8086 serisi ile, Motorala’nın ürettiği 68000 serisi mikroişlemcilerdir.

            Intel’in 8086 mikroişlemcisini yine Intel’in ürettiği 8088 mikroişlemcisi takip etti ve 8088 mikroişlemcisi ilk PC’lerde kullanıldı. 16 bitlik mikroişlemcilerin kullanıldığı PC’ler ‘XT’ (eXtended Technology – Genişletilmiş Teknoloji) standı olarak adlandırıldı. 8086/8088 mikroişlemcileri, X 86 ailesi olarak bilinen mikroişlemci ailesinin çekirdeği oldu.

            16 bitlik veri yoluna sahip 68000 mikroişlemcisinde kaydediciler 32 bitliktir ve 16 MegaBayt bellek adresleme kapasitesi bulunmaktadır. Sun, Macintosh, Atari ve Amiga bilgisayarlarda U 68000 mikroişlemcisi kullanıldı ve büyük başarı kazanan bu mikroişlemci 680X0 ailesinin başlangıcı oldu.

            1982 yılında ise, Intel firması tarafından AT teknoloji (Gelişmiş İleri Teknoloji - Advanced Technology) ile üretilen 80286 mikroişlemcisi piyasaya sürüldü. Hem adres yolu, hem de veri 16 bitlik olarak tasarlanan 80286 mikroişlemcisindeki kaydedici çıkışları 20 bite yükseltilirken, adres yolu sayısı 24’e çıkarılarak 16 MByte adresleme kapasitesi oluşturuldu. 80286 mikroişlemcisi, DOS işletim sistemi ile birlikte 1985 yılında üretilen bilgisayarlarda kullanıldı.

Aynı zaman dilimi içerisinde, Motorolanın ürettiği ve MacOS işletim sistemi kullanan 68000 mikroişlemcisi kullanılıyordu. 68000 mikroişlemcisini, UNIX sistemlerinin gerektirdiği bellek ihtiyacını karşılayabilen, sistemdeki sabit disklerin bir kısmını sanal olarak ana belleğin bir parçası olarak kullanabilen 68010 mikroişlemcisinin üretimi takip etti. 68010 mikroişlemcisinden sonra, daha fazla adres alanına sahip 68012 mikroişlemcisi üretildi. 16 bitlik mikroişlemciler olarak özetlenen mikroişlemcilerin genel özellikleri Tablo 2’de verilmektedir.

 

Tablo 2. 16 bitlik mikroişlemcilerin genel özellikleri.

 

2.1.3.32 Bitlik Mikroişlemciler

 

            Mikroişlemcilerde yaşanan gelişmeler 32 bit veri ve adres kullanan ve gerçek 32 bit mikroişlemciler olarak isimlendirilebilen mikroişlemcileri doğurdu. Adresleme için 32 bit kullanılması, kullanılabilecek bellek alanlarını genişletti ve genişleyen bellek alanları geniş yazılımların geliştirilmesine imkan tanıdı. 32 bitlik işlemciler, kullanıldıkları  farklı bir teknik ile Terabayt  bellek kapasitesi kullanılabilir.

            İlk 32 bitlik mikroişlemciler olarak Bell Lab ve Hevlett-Packard tarafından üretilen 32 bitlik işlemciyi takiben 1984 yılında Motorola tarafından 68020 ve 1985 yılında  Intel tarafından 80386 mikroişlemcileri üretildi.

            32 bitlik mikroişlemcilerden Intel tarafından üretilenler IBM uyumlu PC ve AT tipi bilgisayarlarda, Motorola tarafından geliştirilenler ise işistanyonlarında (workstation-SUN ve HP gibi) kullanıldılar.

            Yeni geliştirilen 32 bitlik mikroişlemcilerin yaygın olarak kullanılması, mikroişlemci kullanılan yeni sistemlerin eski sistemlerle uyum problemini ortaya çıkardı. Uyum problemlerinden birisi, daha önceki seri mikroişlemciler ile olan soket uyumsuzluğu idi. Bu uyumsuzluğu ortadan kaldırmak için, 80386’nın veri yolu sayısı 16’ya indirildi (kaydediciler 32 bitlik bırakılarak). Ortaya çıkan yeni mikroişlemci, ‘80386 SX’ olarak tanıtıldı. Gerçek 32 bitlik 80386 işlemcisi ise, 80386 DX olarak isimlendirildi.

            Motorola tarafından 1987 yılında üretilen 68030 ve 1989 yılında üretilen 68040 mikroişlemcileri 32 bitlik yapıdadır ve bu mikroişlemcilere ‘Bellek Yönetim’ birimi eklenmiştir. Motorola’nın ürettiği işlemcilere karşılık olarak, Intel firması yüksek performans ve hızda 80486 mikroişlemcini üretti. Mikroişlemci dışında bulunan kayar noktalı hesaplama birimi (FPU), bellek yönetim birimi (memory management Unıt-MMU), ön bellek, vb. birimlerin mikroişlemci içerisine alındığı 80486 mikroişlemcisinde, sistemin verimliliği arttı. 80486 mikroişlemcisi, 80386’ın bir üst modeliydi ve 80386 mikroişlemcisinde çalışan tüm programlar problemsiz olarak 80486 ile birlikte çalışabiliyordu. Ayrıca, 80486 işlem hızı 80386’ya göre çok daha yüksekti.

1993 yılında piyasaya sürülen Pentium mikroişlemcisi; temel mimari olarak farklı bir mikroişlemci değildi ve X86 ailesinin bir üst modeli gibi idi. Aynı yıl (1993) içerisinde, Pentium işlemcilerin hızları artırıldı, üzerlerindeki önbellek yapıları değiştirildi ve bellek kapasiteleri genişletildi. Bununla beraber, eski programların sorunsuz çalışabilmesi için Intel X86 mimarisinin yapısı korundu.

            32 bitlik mikroişlemci üretim yarışının Motorola tarafında da hızlı bir gelişim yaşanıyordu. Gerçek 32 bit 68020 mikroişlemcisinin 1984 yılında üretimi, mühendislik çalışmalarında yapılan işlemlere kolaylık sağlandı. Çünkü 68020 mikroişlemcisi 32 bit veri yoluna ve 32 bit çarpma ve bölme komutuna sahipti. Bu özellikler nedeni ile 68020 mikroişlemcisi Sun, Hewlett-Packard ve Macıntoch gibi makinalarda kullanılmaya başlandı. 1987 yılında geliştirilen 68030 mikroişlemcisi, 68020’nin tüm özelliklerine ve entegre içerisinde bellek yönetim birimine sahipti. 1989 yılında piyasaya sürülen 68040 mikroişlemcisi, 80486 mikroişlemcisinde olduğu gibi, entegre içerisinde kayan nokta birimi (Ploating Point Unit), bellek yönetim birimi ve ön bellek birimlerine sahipti.

            1990 yılına kadar üretilen 32 bitlik İntel ve Motorola firmalarının ürettikleri mikroişlemcilerin genel özellikleri Tablo 3’de özet olarak verilmektedir.

 

 

2.2. Mikroişlemci Özelliklerini Belirleyen Etkenler

Günümüzde, çeşitli üreticiler tarafından üretilen çok fazla sayıda mikroişlemci çeşidi bulunması, mikroişlemci seçimini ve piyasada bulunan mikroişlemciler arasından birisinin tercih edilmesi zorluğunu doğurmaktadır. Mikroişlemci fiziksel özellikleri mikroişlemci seçiminde etken faktör olsa da, mikroişlemci seçimini bazen teknik olmayan etkenler belirlemektedir. Örneğin; tasarımcı veya uygulayıcının daha önceki deneyimleri, mikroişlemci seçimini etkilemektedir. Bununla beraber, mikroişlemci ve çevre birimlerinin fiziksel özellikleri, bir uygulama için kullanılabilecek yazılımlar, uygulamanın özellikleri mikroişlemcinin bir uygulama için seçimini etkileyen en önemli etkenlerdir.

            Mikroişlemcilerin özelliklerini belirleyen ve mikroişlemcileri birbirleri ile kıyaslamada kullanılan etkenler olarak aşağıdaki hususlar sıralanabilir:

i-Mikroişlemcinin işlem gücü,

ii- Mikroişlemci komut işleme hızı,

iii- Mikroişlemci tarafından doğrudan adreslenebilen bellek kapasitesi,

iv- Kullanılabilecek komut seti ve komut çeşitleri,

v- Mikroişlemcide bulunan kaydedici çeşitleri ve kaydedici sayısı,

vi- Mikroişlemci ile kullanılabilecek adresleme yöntemleri,

vii- Mikroişlemci ile beraber kullanılabilecek çevre birimleri,

viii- Mikroişlemci - çevre birimleri uyumluluğu,

ix- Mikroişlemci kelime uzunluğu,

x- Mikroişlemcili sistemlerde kullanılabilecek yazılımlar,

xi- Mikroişlemci giriş / çıkış olanakları

xii- Mikroişlemci ile kullanılabilecek kesmeler

 

Belirtilen etkenlerden tek bir özelliğin iyi olması mikroişlemci seçiminde karar vermek için yeterli değildir. Çünkü, bir işlem çeşidini çok verimli şekilde yapan bir işlemci, farklı bir işlem türünde yetersiz kalabilir. Bu nedenle, mikroişlemciyi değerlendirirken açıklanacak etkenlerden birden fazlasını referans alarak incelemek gereklidir.

Mikroişlemci ve mikroişlemcili sistemlerin özelliklerini belirleyen etkenleri detaylandıralım.

i- Mikroişlemci işlem gücü: Mikroişlemcili bir sistemde kullanılacak mikroişlemci seçiminde teknik ve teknik dışı etkenler bulunmasına rağmen, mikroişlemci seçiminde temel gereklilik; mikroişlemcinin istenen işlemleri verilen süre içerisinde gerçekleştirmek için yeterli işlem gücüne sahip olmasıdır. Ancak, işlem gücü net bir şekilde (elektrik gücü gibi) belirlenebilecek bir özellik değildir. Mikroişlemcilerin işlem güçlerini belirtmek için anlamlı bazı karşılaştırmalar yapılabilir. Örneğin; iki mikroişlemcinin performansı, bellekteki bir bölgeden diğerine 100 Byte’lık veri aktaran bir programın mikroişlemcilerde çalışma sürelerinin karşılaştırılması şeklinde kıyaslanabilir. İşlemi kısa sürede yapan işlemci, bellekte sık ve hızlı veri blokları taşınması gerekli bir uygulamada tercih edilir. Mikroişlemci işlem gücü - maliyet bağlantısı bir etken olsa da, mikroişlemcili bir sistemdeki en ucuz parçalardan birisinin mikroişlemci olduğu anımsanarak, yeterli işlem gücünü her zaman sağlayabilecek yüksek güçlü mikroişlemci seçimi doğru bir karar olur.

ii-Mikroişlemci komut işleme hızı: Mikroişlemcinin komut işleme hızı, mikroişlemci ile birlikte kullanılan osilatörün frekansıyla ilgili olmasıyla beraber, mikroişlemci hızını etkileyen tek etken değildir. Mikroişlemci hızını etkileyen diğer bir etken, komutların işlenmesi sırasında gerçekleştirilen ‘komutu al - kodunu çöz - komutu işle ve sonucu sakla’ işlem dizisinin uzunluğudur. 1 MHz’ten başlayan mikroişlemci hızları; 16, 33, 100, 233, 333, 450, 500, 633 MHz, 1GHz ve MIPS (saniyede milyon adet komut işleme) şeklinde sıralanabilir. Geliştirilen yeni teknolojiler ile devamlı artan mikroişlemci komut işleme hızını etkileyen etkenler olarak aşağıdaki hususları sıralayabiliriz:

·         Merkezi işlem biriminde kullanılan yarıiletken teknolojisi: Yarıiletken teknolojisinden bazıları ile oluşturulan devreler diğerlerine göre daha hızlı çalışma hızına sahiptir.

·         Mikroişlemcinin bir kerede işleyebileceği kelime uzunluğu: Daha uzun kelime uzunluğu daha hızlı işlem sonucunu doğurur.

·         Mikroişlemcide çalıştırılabilecek komut kümesi türleri: Çok komut türü bulunması, işlemlerin gerçekleştirilmesi sırasında farklı yöntemler seçebilme esnekliğini doğurur.

·         Mikroişlemci kontrol işlemlerinin yapısı: Kontrol işlemlerinin karmaşık bir yapıya sahip olması, mikroişlemci hızını düşürür.

·         Mikroişlemcide kullanılabilecek kesme çeşitleri: Daha çok kesme çeşidi, işlemlerde esneklik sağlayarak hızın artmasına yardımcı olur.

·         Mikroişlemci ile birlikte kullanılan bellek ve giriş/çıkış (G/Ç) elemanlarına erişim hızı: Bellek ve G/Ç elemanlarına hızlı erişim, mikroişlemcili sistemin hızını arttırır.

iii-Mikroişlemci tarafından doğrudan adreslenebilen bellek kapasitesi: Mikroişlemcili sistemlerde, bellek adresleri adres yolu yardımıyla bulunur. Adres yolunda bulunan hat sayısı , adreslenebilecek bellek bölgesi sayısını belirler. Mikroişlemci yapısıyla ilgili ve mikroişlemciye göre standart olan hat sayısı, mikroişlemcide bulunan kaydedicilerin yapısına bağlı olarak çoğaltılabilir. Örneğin; 16 hatta sahip mikroişlemcili bir sistemde, adres bilgisi dört bit kaydırılarak 20 adres hattı oluşturulur ve 20 hat ile 1 MByte’lık bellek adreslenebilir.

iv-Kullanılabilecek komut çeşitleri: Mikroişlemcilerde kullanılabilecek komutlar, komutların yapısına ve komutların yaptıkları işlemlere göre gruplandırılırlar: Giriş / Çıkış komutları, aritmetik işlem komutları, veri işleme komutları, mantık komutları ve test komutları.

Mikroişlemci komut gruplarında bulunan komut sayısının çok olması, kullanıcıya esneklik sağlar. Kullanıcının uygun komutu seçmesi, mikroişlemcili sistemin hızını arttırabilir. 4-bitlik mikroişlemci ile 8 bitlik mikroişlemci veya 8 bitlik mikroişlemci ile 16 bitlik mikroişlemci arasında pratikte yapılan karşılaştırmada; mikroişlemcinin performansını kelime uzunluğu kadar güçlü bir komut setine sahip olmasının etkilediği görülür. Bununla beraber, mikroişlemcilerin karşılaştırılmasında, karşılaştırılması zor olan özelliklerden birisi komut setlerinin karşılaştırılmasıdır. Bunun nedeni, her mikroişlemci tipinin kendine özgü üstünlük ve eksikliklerinin olması ve kullanılan komut seti ile mikroişlemci mimarisi arasında sıkı bir ilişki bulunmasıdır. Örneğin; M6800’de iki adet kaydedici ve bu kaydedicilerle ilgili komutlar bulunurken, Intel 8080’de yedi adet kaydedici ve bu kaydedicilerle ilgili komutlar bulunur.

v-Mikroişlemcilerde bulunan kaydedici çeşitleri ve kaydedici sayıları: Mikroişlemcili sistemlerde kullanılan komutlar ve kullanıcı verileri, kaydediciler üzerinden çalıştırılır. Kaydediciler, kullanım yerlerine ve işlevlerine göre gruplandırabiliriz:

·         Genel amaçlı kaydediciler: EAX, EBX, ECX, EDX, vb.

·         İşaretçi ve indeks kaydedicileri: ESP, EBP, ESI, EDI, EIP, vb.

·         Bayrak kaydedicileri: C, P, A, Z, S, T, D, I, O, IOPL, NT, RF, VM, AC, vb.

·         Parça (segment) kaydedicileri: CS, DS, ES, SS, FS, GS.

·         Tablo kaydedicileri: TR, LDTR, GDTR, IDTR.

 

Her bir mikroişlemcide, gruplar içerisinde bulunan kaydedicilerden bir kısmı seçilerek kullanılır. Kullanılan kaydedicilerden en çok kullanılanlar:

·      Akümülatör,

·      İndis kaydedicileri,

·      Program sayıcısı,

·      Yığın işaretçisi,

·      Komut kaydedicisi,

·      Veri adres kaydedicisi,

·      Veri kaydedicisi,

·      İşlemci durum kaydedicisi

şeklinde sıralanabilir.

 

vi-Mikroişlemci ile kullanılan bellek adresleme yöntemleri: Üzerinde çalışılacak bir verinin bellekten nasıl ve hangi yöntemle çağırılacağı veya üzerinde çalışılan verinin belleğe nasıl ve ne şekilde yerleştirileceği farklı adresleme yöntemlerini ortaya çıkarır. Çok sayıda adresleme yöntemleri bulunması, güçlü bir komut seti etkisi gibi programcıya esneklikler sağlar. Farklı mikroişlemcilerde çok sayıda bulunan adresleme yöntemlerinden bazıları seçilerek  kullanılmaktadır. Her bir mikroişlemci ile kullanılabilecek adresleme yöntemleri ilgili mikroişlemciye ait üretici veri sayfalarında açıklanmaktadır. Adresleme yöntemleri olarak:

·         Doğrudan adresleme,

·         Dolaylı adresleme,

·         İndeksli adresleme,

·         İvedi adresleme,

·         Doğal adresleme,

·         Bağıl adresleme,

·         Sıralı adresleme,

·         Mutlak adresleme,

·         Veri tanımlı adresleme,

·         Göreceli adresleme,

·         Akümülatör ve imalı adresleme,

yöntemleri sıralanabilir. Farklı adresleme yöntemleri, bilginin okunacağı veya yazılacağı adres bölgesine erişim şekline göre ortaya çıkar.

vii-Mikroişlemci ile birlikte kullanılabilecek çevre birimleri: Mikroişlemci ile çevre birimleri bir arada kullanılarak mikroişlemcili bir sitemi oluşturur. Mikroişlemcili sitemde bulunan çevre birimleri olarak:

·         Çevre Bağdaştırma Adaptörü (PIA-Peripheral Interface Adaptor),

·         Çok Yönlü Bağdaştırma Adaptörü (VIA-Versatile Interface Adaptor),

·         Yardımcı işlemci (Co-Processor),

·         Ön bellek (Cache Memory),

·         Doğrudan adres erişimi (Direct Memory Access),

·         Eşzamansız iletişim bağdaştırma adaptörü (ACIA),

devreleri / elemanları sıralanabilir. Çevre birimlerine destek olan elemanlar / özellikler olarak; sistemin soğumasına yardımcı olan soğutucular, yardımcı bellekler olarak kullanılan paketlenmiş ön bellekler ve komutu bölümlere ayırarak işlenmesini sağlayan ‘pipeline’ özelliği verilebilir.

viii-Mikroişlemci - çevre birimleri uyumluluğu: Mikroişlemci ile birlikte kullanılan çevre birimlerinin, mümkün olduğunca mikroişlemci ile aynı hızda çalışması gerekir. Ayrıca mikroişlemcili sistemde kullanılacak belek devrelerinin hızları mikroişlemci ile hız uyumluluğu içerisinde bulunmasıdır. Mikroişlemcili bir sistemde (özellikle bilgisayarlarda) kullanılan ekran kartının ve diğer kartların hızları mikroişlemci ile uyumlu olmasıdır.

ix-Mikroişlemci kelime uzunluğu: Mikroişlemci kelime uzunluğu, aritmetik, mantık, veri aktarımı ve G/Ç komutlarının işlenmesi sırasında paralel olarak bir kerede işlenebilecek bit sayısını belirtir. Diğer taraftan kelime uzunluğu, mikroişlemcide bulunan genel amaçlı kaydedicilerin büyüklüğünü ve her bir bellek adresinde saklanabilecek kapasiteyi belirtir. Mikroişlemci veri yolunda bulunan hat sayısı çoğu mikroişlemcide kelime uzunluğuna eşittir. Daha uzun kelime, birden çok işlemin aynı anda yapılabilmesi imkanı verir. Ayrıca, uzun kelime program yazımı sırasında kolaylıklar sağlar.

Mikroişlemciler, her bir saat çevriminde komut kuyruğunda bulunan komutları ve komut ile ilgili bellekteki verileri işlerler. Basit işlemleri gerçekleştirmek için tek bir komut yeterli olabilir. Komutu açıklamak için 4 bitten 64 bite kadar kelime uzunluğuna sahip veriler kullanılabilir. Komutların az sayıda bit ile açıklanması, hızı ve sistem performansını düşürür. Çünkü işlemlerin gerçekleştirilmesi için birden fazla kısa veri kullanılır ve bu durum işlemcinin veri işleme hızını azaltır.

M6800 mikroişlemcisi genel hatları ile klasik 8-bit kelime uzunluğuna sahip mikroişlemcilere örnek olarak verilebilir. Z-8002 ise, 16-bitlik mikroişlemcide ve 16-bit kelime uzunluğunda  mikroişlemci mimarisine sahiptir. Daha sonra geliştirilen Motorola 6809 ve Intel 8088 mikroişlemcileri 8-bitlik mikroişlemci olarak isimlendirilmesine rağmen, iç veri aktarımları ve veri işlemleri 16-bitlik işlemci karakteristiği gösterir.

x-Mikroişlemcili sistemde kullanılan yazılımlar: Mikroişlemci temelli sistemlerde yazılımlar, mikroişlemci ile çevre birimlerin bir sistem oluşturmasını ve sistemin çalışmasını sağlayan programlar olarak açıklanabilir. Yazılımlara örnek olarak: DOS, OS/2, Sistem 7, Windows, Unix ve Linux işletim sistemleri verilebilir.

xi-Mikroişlemci giriş / çıkış olanakları: Mikroişlemcili bir sistem, verilerin merkezi işlem biriminde işlenmesi nedeni ile, verilerin birimlerden MİB’ne veya MİB’inden birimlere iletilmesini sağlayan giriş / çıkış olanaklarına sahip olması gerekir.

Giriş; bir klavye, dönüştürücü, mouse, optik okuyucu ya da farklı bilgi kaynaklarından birisi olabilir. Çıkış; görüntülenmesi ya da yazdırılması gereken sayısal / alfasayısal sonuçlar veya elektronik / elektromekanik cihazları denetleyen sinyaller olabilir. Farklı giriş ve çıkış sistemlerine sahip olan mikroişlemcili bir sistemde, G/Ç devresi tek bir hat (lamba, anahtar, röle, vb. elemanları bağlamak için) veya çok sayıda hat (monitör, yazıcı, vb. elemanları bağlamak için) içerebilir. G/Ç devresindeki hat sayısı, kullanılan elemanlara bağlı olduğu gibi kullanılan haberleşme türüne de bağımlıdır. Çok sayıda bitten oluşan bir verinin iki nokta arasında paralel olarak aktarımı çok sayıda hat ihtiyacını doğururken, verilerin seri olarak iletimi için tek bir veri hattı yeterli olur. Mikroişlemcili sistemler, G/Ç olanakları konusunda 3 farklı yapıya sahip olabilir:

1. Tek yongalı mikroişlemcili sistem yapısı: Tek yongalı mikroişlemcili sistem yapısında, G/Ç arabirim devrelerine çok az sayıda ihtiyaç duyulur. Bu yapıda bulunan G/Ç hatları ile seri veya paralel veri iletişimi sağlanabilir. Bahsedilen yapının sakıncası; G/Ç özelliklerinin mikroişlemci tarafından sabit olarak belirlenmesi nedeniyle, kullanıcının isteğine uygun olarak şekillendirme esnekliğinin bulunmamasıdır. Sakıncanın ortadan kaldırılması için; aynı aileye sahip mikroişlemciler, farklı bellek kapasitesi ve farklı G/Ç olanağına sahip ürünler şeklinde piyasaya sürülmektedir.

2. G/Ç terminal yapısı: Mikroişlemci giriş / çıkış olanakları için yaygın olarak kullanılan yapılardan birisi, giriş ve çıkış verilerinin üzerinden geçtiği terminallerden oluşan yapıdır. Bu yapının en belirgin özelliği; giriş / çıkış işlemleri için özel amaçlı komutların bulunmasıdır. G/Ç işlem komutları farklı mikroişlemciler için farklı yapıda olabilir.

Çevresel birim ile mikroişlemci arasında oluşan veri iletiminin kontrolü, çevresel arabirim devresi (PIC-Peripheral Interface Circuit) tarafından yapılır. G/Ç terminali üzerinden yapılan mikroişlemci - çevre birimleri arasındaki iletişimin sağlıklı bir şekilde gerçekleştirilmesi ve birimlerin bilgilendirilmesi için ‘Giriş / Çıkış İstek’ (IORQ - Input / Output Request) sinyali kullanılır (Şekil 1.a). G/Ç terminali yapısına örnek olarak Z80 mikroişlemcisi verilebilir (Şekil 1.b). Z80 mikroişlemcisinde bulunan IORQ sinyali, Giriş / Çıkış komutunun çalıştırılması ile ‘0’ değerini alır ve terminal adresi adres yoluna yerleştirilir. Bu anda veri yolu üzerinden bilgi gönderilir veya alınır.

Şekil 1. MİB ile çevre arabirimi arasındaki bağlantılar ve Z80 G/Ç hatları

 

3. Bellek haritalı G/Ç yapısı: Giriş / çıkış yapıları içerisinde yaygın olarak kullanılan diğer bir yapı, bellek haritalı G/Ç sistemidir. M6800 ve 6502 mikroişlemcileri, bellek haritalı G/Ç yapısında işlemcilerdir. Bu yapıya sahip mikroişlemcilerde, özel amaçlı G/Ç komutları ve IORQ sinyali gibi kontrol sinyalleri bulunmaz. Bunun nedeni, bellek haritalı G/Ç yapısına sahip mikroişlemcilerin, giriş işlemleri ile bellek okuma işlemleri arasında fark olmamasıdır. Benzer şekilde, veri çıkışı işlemi belleğe bilgi yazdırılması şeklinde gerçekleştirilir. Örneğin; M6800 mikroişlemcisinde ana bellekten bilgi okunması ve sisteme veri girişi için ‘LDA A’ veya ‘LDA B’ komutları kullanılırken, hem belleğe veri yazma hem de veri çıkışı için ‘STA A’ ve ‘STA B’ komutları kullanılır. Bellek haritalı yapı kullanılması durumunda, G/Ç işlemleri için ayrılan adreslerin, ana bellek ile aynı adres alanını paylaştığı unutulmamalıdır. Diğer bir deyişle; G/Ç birimi için kullanılan bir adres, bellek konumu veya bir bellek bölgesi için kullanılmamalıdır.

xii-Mikroişlemci ile birlikte kullanılabilecek kesmeler: Mikroişlemcili sistemlerde kullanılan kesmeler, mikroişlemci tarafından yapılan işlemlerin askıya alınmasını ve denetimin kesme hizmet yordamı adı verilen başka bir programa aktarılmasını sağlarlar. Kesme hizmet yordamının işlevinin bitmesiyle askıya alınan işlemlere geri dönülür (Şekil 2).

Kesme işlemi, mikroişlemci kesme hattının aktif (etkin) yapılması ile başlar. Etkinleştirme işlemi; kesme hattının M6800, Z80 ve Z8000 mikroişlemcilerinde ‘0’, 8080 mikroişlemcisinde ise ‘1’ durumuna getirilmesiyle başlar. Kesmeler, kesme olanağının kontrol edilmesi işleminin bulunup - bulunmaması referans alınarak ‘maskelenebilen veya maskelenemeyen kesmeler’ olarak gruplandırılır. Kesme istemi kaynağının kesme işlemi ile MİB’ine bildirdiği kesme şekli, ‘vektörlenmiş kesme’, kesme istemi kaynağının MİB tarafından kaynakların taranması sonucu bulunduğu kesme şekli ise, ‘vektörlenmemiş kesme’ olarak isimlendirilir.

                     

 

 

 

 

 

 

İntel Mikro İşlemcileri

            

          

4004:   4 bitlik mimari ve 1Mhz hıza sahip olan bu işlemci 2000 transistöre sahipti. 1971 yılında üretilen işlemci 45 komut içeriyordu.

8008:   1972 yılında üretilen 8008 işlemcisi 8 bitlik bir işlemciydi ve 3500 transistöre sahipti. En önemli özelliği alfasayısal veri işlemlerini yapabilmekteydi.

8080: 1974 yılında üretilen 2MHz ‘lik bu işlemci 6000 transistör içermekteydi. 8 bitlik akümülatöre sahiptir.

8086: Gerek eğitim amacıyla,gerekse de özel ,kontrol ve kumanda devrelerinde yararlanılmaktadır.1978  yılında üretilen bu işlemci 29000 transistör içeriyordu. 16 bitlik mimari ve tüm x86 uyumlu çiplerde bu işlemcinin komut seti kullanılmaktadır.

 

           8088/80188: 16 bitlik registers ve 8 bitlik data ve adres yoluna sahiptir.Bir milyon bytes,iç memory(internal memory)’e sahiptir.80188,8088’ebirkaç komut eklenmesiyle oluşturulmuş bir işlemcidir.Her ikiside gerçek mod da (real mode) çalışıyor. Gerçek mod da sistem normal olarak 1 MB’lık bellek kullanarak kendi başına çalışır. Aynı anda tek bir program çalışır. 16 biti 8 bit olarak ayrı ayrı giriyor.

 

           80186 :8088/80188 işlemcilerin bir benzeridir. Ama daha hızlı çalışır. Çünkü 16 biti,16 bit olarak geçiyor.80186,8086’ya birkaç komut eklenmesiyle oluşturulmuştur. Real mode’de çalışıyor.

 

           80286: 16’bitlik bir mikroişlemcidir.1982’de üretime başlanmıştır. Data(veri) yolu 16 bittir. Adresleme yolu 24 bittir. Çalışma frekansı 8-25 MHz arasında değişir.

Hem real mode ‘da hemde protected(korumalı)mode’de çalışır. Yani aynı anda iki programı çalıştırıyor.                                         

           80386:32 Bit’lik mikroişlemcilerdir.1985’te üretime başlanmıştır.32 bit veri ve adres yoluna sahiptir.4 milyar byte’lık memory’e sahiptir. Çalışma frekansı 16,25,33,40 MHz’dir.Hem real mode’de hemde protecdet mode(korumalı modda)’da çalışır.32 adet kaydediciye sahiptir.

 

           Korumalı modda bilgisayar başka bilgisayarlarla ortak olarak çalışabilir ve aynı anda ortak dosya paylaşımı ,ortak sistem kullanımı ve zaman paylaşımı denilen sistem kullanılır. Ayrıca bu modda 1MB sınırı aşılarak büyük bellek kapasiteleri kullanılabilmektedir.

 

           Koordineli bir bellek yönetimi,koruyucu sistemi ve adres çeviri(translation)kaydedicileri vardır. Çok amaçlı (multitasking) bir donanıma sahiptir. Komutların verimi artırıcı çoklu ortam sistemi (ınsruction pipelining) ve özel mimari yapı ile saniyede 3-4 milyon komut işleyecek bir özelliğe sahiptir.          

 

           80386 aşağı-yukarı daha önceki üretilen 80286’dan  8086’ya kadar geriye doğru uyumludur.8086’da yazılmış programlar 80386’lı bilgisayarlarda çalışabilir fakat ,80386’dayazılan gerçek mod (Real Mode ) dışındaki programlar alt versiyonlarda çalışmaz.

           

           1988 yılında 80386’nın bir değişik modeli olan 80386SX geliştirildi. Bu işlemcide’de 32-bitlik kaydediciler kullanırken ,80286 ile soket uyumluluğu sağlamak için veri yolu 16-bite indirildi. 80386SX teriminin kullanılması normal 80386’nın  80386DX olarak anılmasına sebep oldu.

                                                       

           80486:80486 Mikroişlemcilerinin ,486DX,486SX,486DX gibi versiyonları vardır. Versiyonlar arasında ,temel yapı bakımından fark bulunmamakla beraber detayda ve hız bakımından bazı farklar vardır. Veri ve adres yolu 32-bitliktir.486DX-1989,486SX-1991486DX2-1991 yıllarında üretime başlanmıştır. Çalışma frekansı 16-20-25-33-50-66 MHz’dir.Hem real mode’de hemde protecdet mode’da çalışır. Bu işlemcide farklı olarak,kayar noktalı hesaplama birimi(Floating Point Unit-FPU),bellek yönetim birimi (MMU),ön-bellek gibi birimler geliştirildi. Daha önceleri işlemci dışında kullanılan ön-bellek ve kayar nokta hesaplama birimi işlemci içerisine alındı. Böylece sistemin verimliliği artmış oldu.

 

           İntel 80486 işlemcisinin içerisinde FPU birimi bulunmayan 80486SX işlemcisini üretti. Bu işlemci performans yönünden 80386DX ile hemen hemen aynıdır.                                                            


 


                                        Şekil-3  İntel Mikroişlemci Ailesi

 

Pentium Mikroişlemcisi:

            

           INTEL firmasınca üretilen Pentium tipi mikroişlemciler CISC ve RISC özelliklerini üzerinde taşımaktadır. İlk Pentium 60 ve 66 MHz işlemciler P24C koduyla alınırken, 90-100 MHz’lik işlemciler P54C ve P6 işlemci ise ,P55C koduyla anılmaktadır.              

 

           80486 mikroişlemcisine göre Pentium tipi işlemcinin üstün özellikleri şunlardır;

·        64-bitlik harici veri yolu

·        Adanmış komut ön-belleği(8KB)-L2 cache özelliği-

·        Adanmış veri ön-belleği(8KB)-L1 cache özelliği-

·        İki ayrı tam sayı icra birimi

·        Atırılmış kayar nokta3,1 milyon transistör sayısı icra birimi

·        Dinamik dalma tahmin mantığı

·        Yeni komutlar ve komut işleme mantığının fazla olması

·        3,1 milyon transistör sayısı

 

           Cache belleklerin (ön belleklerin ) ortaya çıkmasıyla , ana bellekten veri almak için düşük sistem veri yolu hızında çalışması,belleğe düşük hızda erişilmesi ve sistem veri yolunun başka işler için boşaltılması ortadan kalkmıştır.

 

CISC(Complex Instruction Set Computers-Karışık Komut Kümeli Bilgisayarlar):Daha çok programların az bellek kullanımı gerektirdiği sistemlerdeyer almakta ve az bellek kullanımı için kompleks komutların ve mimarinin oluşumunu ortaya çıkardı. Mimarideki kompleksliğin artması,işlemci performansında negatif oluşumların ortaya çıkmasına sebep oldu. Bununla birlikte programların yüklenmesi ve çalıştırılmasında düşük bellek kullanımının hızlı olması mesele teşkil etmemekteydi. Eskiden kullanılan bellekler 16-32 KB iken yeni mimarilerde 8-16-32-64 MB’a çıktı ve günümüz kişisel bilgisayarlarında bir standart halini aldı.

 

RISC(Reduced Insruction Set Computers-Azaltılmış Komut Kümeli Bilgisayarlar):

Risc işlemcili sistemlerde amaç,komut işlenmesinin mümkün olduğu kadar hızlı olmasıdır. Bunun içinde işlemcinin çalıştırdığı komutlar  basitleştirilmiştir. Komutların basitleştirilmesi ve azaltılması işlemcinin uzun ve kompleks olandan daha hızlı çalışabilmesi demektir.

 

CISC ve RISC Tabanlı İşlemcilerin Karşılaştırılması:

·        HIZ;RISC bilgi işlemini CISC’den hızlı yapar

 

·        TRANSİSTÖR SAYISI; CISC mimarisinde kullanılan transistör sayısı RISC’e nazaran daha fazladır.

 

·        RISC mimarisi,CISC’in güçlü komutlarından yoksundur ve aynı işlemi yapmak için daha fazla komut işlenmesini gerektirir.

 

Pentium işlemcisi DOS,WİNDOWS,OS/2 ve UNIX için olan tüm uygulamalar için uyumludur. Pentium 75/90/100/120/133/150/166/200 işlemcisi 3,3 milyon transistöre sahiptir ve Intel’in gelişmiş BİCMOSslikon teknolojisi üzerine kuruludur.Pentium işlemcisi superscalar(süper-ölçek)mimarisi her saat turunda iki komutu yerine getirebilir.

 

MMX Teknolojisi: İntel’in klasik Pentium işlemcilerine eklediği 57 adet yeni komut seti sayesinde görüntü ve ses ağırlıklı uygulamalarda yani multimedya uygulamalarında performans  artışını sağlayan teknolojidir. Daha önceden tanıdığımız Pentium ve PentiumPro (Profesyonel ) işlemcilere MMX teknolojisi eklenerek Pentium-MMX ve Pentium-II işlemciler üretilmiştir.Pentium MMX(kod adı P-55C olan)işlemciler uygun Windows 95 işletim sistemini ve üzerinde çalıştırılan uygulamaları hedefliyordu.

          

PentiumPro:Orijinal adı P6 olan Pentium Pro,Pentium’dan ve Pentium MMX’den daha değişik bir mimariye sahiptir. 4 adet CPU’yu bir sistem ünitesi içerisinde biraraya getiren tek işlemci olan Intel CPU,P6’nın yüksek güç isteyen server ve iş istasyonları için tercih edilmesini sağlayan belirli bir etmendir.

 

           Pentium Pro hızla 200MHz’e çıkmakta  ve dahili L2 ön belleğin 512K’sını taşımaktadır; biz1MB’lık dahili L2 ön belleğini  bu yıl sonuna kadar görmeyi umuyoruz. Bu CPU,Windows NT ve gerçek 32 bit Windows uygulamalarında kullanılmak istenen en uygun CPU ‘dur.

 

Pentium II:Pentium II işlemciler İntel’in en yeni kuşağı. Bu işlemci Pentium Pro işlemcisinin Dinamik icra ve DIB(Çiftli Bağımsız Veri Yolu) özelliği ile MMX teknolojisinin çoklu ortam özelliklerinin bir birleşimi olarak adlandırılabilir. Bunun sonucu olarak da Pentium II işlemcisi üstün bir yazılım performansı sergiliyor,özelliklede yazılımlar bu teknoloji için tasarlanmışsa.

    

           586 nesli işlemciler için yaygın socket 7 ana kartların karşısına Pentium II için slot-1 ana kartlar çıkıyor.

 

Pentim II İşlemcilerin Teknik Özellikleri:

·        16 KB’lık veri ve 16 KB’tı komut için ayrılmış 32KB L1 ön belleğe sahiptir.

·        Matematik işlemci ile gelişmiş mantıksal işlemcilere sahiptir.

·        Pentium II işlemcilerin gerçek güçleri yeni yazılımların 32 bit çalışmasıyla birlikte ortaya çıkıyor. Pentium iki işlemcileri daha çok Windows NT ve 32 bit işletim sistemleri için performans artışı sağlamaktadır.Bu işletim sisteleri halen masa üstü,ev ve ofis kullanımları için gereksiz ve ağır kalmaktalar.Bu yüzden Pentium MMX işlemciler üstün performanslarıyla halen masaüstü uygulamalarda yeteri kadar güç sağlayabilmektedirler.

·        Pentium II işlemciler MMX deki Single  Instruction Multiple Data (SIMD)teknolojisini kullanarak tek bir komutu birden fazla veri kaynağına götürüp anında hazır duruma getirebiliyorlar.Bu teknoloji sayesinde video, audio ve görüntü işlemleri hızlanıp zaman kazanmamızı sağlıyor.

·        Pentium II işlemciler kendisine özel bir kartuş içerisinde geliyor. Bu kartuş bir 512KB’lık  L2 cache’i içerir ama çip’e göre dıştadır ve Pentium Pro L2 cache’neden ,yarısı kadar yavaş çalışır. Bu kartuş Slot 1 adı verilen yuvaya sahip ana kartlara dik olarak yerleştiriliyor.Pentium II işlemci ve soğutucu, plastik raylar vasıtasıyla slot 1’e takılabilir. Slot 1 den Socket 8’e dönüştürücüler sayesinde Pentium pro işlemcilerde Slot 1 ‘e takılabilmektedir.

·        Dinamik icra teknolojisi,bu teknolojinin en temel özelliği verilen komutları analiz edip,komutları kendi içinde bir sıraya koyup sonraki komutu tahmin ederek o komutu hazır hale getirmesi.

·        Şimdilik iki Pentium II’yi tek sistem içinde kullanabilirsiniz ama 4 tanesini kullanamazsınız. İntel’in yeni Pentıum II çip seti bir AGP (Accelaraetd Graphics Port-Hızlandırılmış Grafik Portu)’nu, 3 boyutlu text(metin 

     haritalarına yüksek hızlı giriş için, içine almıştır.

 

AGP ;sadece  ekran kartlarının kullanılması amacıyla geliştirilmiş özel bir veri yoludur. AGP    portu sayesinde eskiden PCI veri yolunun kapasitesiyle sınırlı kalan ekran kartının performansından tam olarak yararlanılır. Ekran kartı kendine özgü veri yolunu kullandığı için PCI veri yolunu kullanan diğer cihazlar veri sıkışıklığından kurtulmuş olur.

 

                             INSIDE THE CARTRIDGE(KARTUŞUN İÇİ)

           Pentiım MMX gibi, Pentium II’ de intel’in 0,35 mikron (M) CMOS yapımı             işlemci kullanılır. Power-hungry bipolar BİCMOS işlemci donanımlar için Intel 7.5 milyon transistörü 203 mm karelik zar üzerine sıkıştırdı. Pentium Pro dam 6 mm kare daha büyük olmasına karşın, Pentium II 2 milyon daha fazla transistör içerir. Drawn kapı büyüklüğü olarak sadece 0,28 mikron kullanarak, Intel bu transistörleri hızlandırmış emsalsiz *86 saat hızlarına ulaşmıştır.

 

           Pentium II’nin ikinci cache’i, Pentium Pro’ninkinden daha yavaştır. İki oyuklu bir seramik kaplama kullanılarak, Pentium Pro, Intel tarafından L2 cache ile (bu cache CPU ile saat uyumlu olarak çalışır) donatılmıştır. Bu düzenleme etkilidir ama üretimi pahalıdır. Üretim fiyat harcamalarından tasarruf etmek için Pentium II, bir (çipten ayrı montajlı) dış cache kullanılır ve bu cache, CPU’nun kendisinin yarı hızında çalışır. Şu anda bir Pentium II cache hala bir Pentium cache’nden daha hızlıdır ve peşinden bir 200 MHz Pentium Pro cache’İ sürükler.

 

           İhtiyacı karşılamakiçin Intel , Pentium II üzerindeki L1 cache’ini 16K’dan  

32K’ya , iki kat artırmıştır. Böylece L2 cache’nin aldığı aramaların (calls) frekensını (sıklığını) azalmıştır. Bu değişiklikle ve daha yüksek brir saat hızıyla, Pentium II (512 K  L2 cache’i ile birlikte) Pentium Pro (256K,L2 cache)’dan daha yüksek bir performans gösterir. Windows NT altında bu fark yaklaşık %25’tir.

 

 

                                MİMARİDEKİ GELİŞMELER

           PENTİUM II ile Intel P6 mimarisinde iki önemli değişiklik yaptı. Segment kaydedici yazılarını kaldırıp, MMX komut setini ekledi. Tekrar isim verilebilen segment registerleriyle donatılan Pentium II, yazılan tahmini olarak işler ve eski segment değerlerini kullanan komutlarla yeni değerleri kullanan komutların bir arada olmasına izin verir. Bu yüzden Windows 95 testi sırasında Pentium II PC’leri Pentium Pro ile tabi tutuldukları CPUmark16 testinde Pentium Pro dan %50 daha iyi puan topladı(bu test CPU nun ham hızını ölçen bu ölçüm sırasında alt sistem 16 bit kodunda çalışır).

 

           Pentium II işlemcisi,Pentium Pro  işlemcisinin mimari avantajları ile İntel’inMMX teknolojisinin komut setine getirdiği yeniliklerin her ikisini kullanarak,bütün PC yazılımlarında çok iyi bir performans sağlıyor. Buna ek olarak güçlü ve gerçekçi grafik yeteneği ,video konferans yeteneği,tam ekran,tam hareketli video oynatabilme yeteneği hesaba katıldığında çoklu ortam ve iletişim uygulamalarında da  belirgi,n bir üstünlük ortaya koyuyor. Bu işlemci Office,Video konferans ,Video capture gibi ticari yazılımlarda MMX teknolojisini kullanıyor. İntel değişen teknolojiye ayak uydurabilmek için Çiftli Bağımsız Veri Yolu Mimarisini  

 PC platformundaki işlem sınırlarını aşabilmek amacıyla geliştirildi. Bu teknoloji, önceleri sadece Pentium Pro işlemcilerle kullanılmaktaydı ama şimdi Pentium II işlemcilerde bu teknoloji daha geniş çalışma sınırlarına sahip olabilmek ve veri yolunu genişletmek maksadıyla kullanılıyor. Yani Pentium II işlemcisi iki bağımsızyolu ya aynı anda yada paralel çalıştırarak her bir yol üzerindeki vericiyi de işleyebiliyor. Çiftli Bağımsız Veri yolu ‘ndaki iki bağımsız yoldan biri L2 ön bellek veri yolu ,diğeri ise işlemciden çalışma hedefine giden sistem yolu . Bu iki yol aynı anda çalışıyor.266 MHz Pentium II’deki L2 ön bellek sıradan bir Pentium işlemcinin tam iki katı hızında,ve işlemcinin büyümesi halinde L2 ön belleği daha da hızlanabiliyor. Sistem  yolu ise birden fazla işlemi aynı anda yapabiliyor ve böylece sistem içindeki bilgi akışı da hızlandırılmış oluyor.

 

                                  MİKRO İŞLEMCİ ÖZELLİKLERİ

            

Bilgisayarın beyni sayılan mikroişlemcileri birbirinden ayırt eden en önemli unsurlar onların işlevleri ve özellikleridir. Belli başlıları şunlardır;  

 

               1-)Mikroişlemcinin bir defa da işleyebileceği kelime uzunluğu.

 

Mikroişlemcilerde kelime uzunluğu veya bit uzunluğu,paralel olarak işlenen veri bitlerinin sayısıdır. Kelime,işlemcideki genel amaçlı kaydedicilerin büyüklüğü ve aynı zamanda her bir bellek  mahalli kapasitesidir. Büyük kelime uzunluğu, aynı anda    

Bir çok işlemin birlikte yapılması ve bazı uygulama program yazılımları için kolaylık demektir. İşlemciler, her bir saat saykılında senkronize olarak o anda komut kuyruğunda bulunan komutları ve bunlara görede bellekteki verileri işlerler. Bilgi bitleri mikroişlemcinin tipine göre bir,dört,sekiz,onaltı, ve otuzikilik sıralar halinde işlenir. Bir mikroişlemcili sistemde çok basit problemler tek bit kodunda işlenebilir. Buna örnek olarak içerisinde işlemci bulunan soğuk içecek makinalarıdır ve tek bit esasına göre tasarlanmıştır. Küçük hesap makinaları ve cep bilgisayarları da  basit aritmetik problemlerin çözümünde dört bit kullanılmaktadır. Normal bilgisayarlarda bütün bilgiler sekiz bit (Bayt ),onaltı bit(Word )  veya  otuziki bit(Doubleword ) olarak işlenirler. Eğer komutlar veya veriler küçük gruplar halinde işlenirlerse  hızda bir azalma olacak ve bu yüzden performans düşecektir.

 

Kelime uzunluğu büyük olan işlemcide yapılan aritmetik işlemlerde doğruluk oranaları kısa uzunluklu kelimelere nazaran çok yüksektir(4-bit %6 , 8-bit %0.4 ve 16-bit &0.001). Eğer işlemcinin kelime uzunluğu , tek bir kelimeyle ele alınan belirli bir problem için yetersizse,tek bir verinin işlenmesi için işlemci daha fazla zaman harcayacak ve veri işleme hızı düşecektir.   

 

               2-)Mikro işlemcinin tek bir komutu işleme hızı.

 

Bir mikroişlemcinin hızı saat Frekansıyla doğrudan ilgilidir. Fakat saat frekansı her zaman gerçek çalışma frekansını yansıtmaz. İşlemci hızını belirleyen bir çok yol vardır. Bunlar çalışma saykılının uzunluğudur ki (algetir-kodunu çöz-işlet-depola),bu ölçüm fazla kullanışlı değildir. Bilgisayar üreticileri daha çok hız ölçmek için özel bazı test programları geliştirmişlerdir. Başlıca mikroişlemci hızları mikrosaniye olarak 16,25,33,100 Mhz ve MIPS’tir.(saniye milyon adet komut işleme).

 

Bir mikroişlemciyi diğerinden daha hızlı yapan unsurlar şunlardır.

 

·        MIB’in devre teknolojisi ve planı. Mesela katı-durum elektroniğinde kullanılan bazı teknolojiler diğerlerine nazaran daha hızlı cevap veren devreler üretmektedirler.

·        İkinci maddede açıklandığı gibi işlemcinin kelime uzunluğu. Uzun kelime hızlı işlem demektir.

·        İşlemci komut kümesi çeşidi. Bir işlemcide bir işlem tek bir komutla yapılırken diğerinde daha fazla komutla yapılabilir.

·        Genel olarak zamanlama ve kontrol düzeni.

·        Kesme alt programlarının çeşitleri.

·        Bilgisayar belleğine ve I/O cihazlarına erişim hızı. 

 

1985’larda piyasaya sürülen  İ386’larla  birlikte SX ve DX,1989’larda  sürülen I486 işlemcilerde de

2X33,2X50,2X66 ve 4X100 Mhz ifadeleri mikro işlemci işlemlerine renk kattı. SX’in bazı özelliklerinin 286’ya benzemesi ve dahili  32-bit yapıda 16-25 Mhz çalışması kendisine bu ismin takılmasını sağlarken ,DX ,yapısal olarak diğerinden farklılığı ve SX’ten daha dinamik (33-40 Mhz)çalışması ve network ortamına tam olarak uyabilmesi tercih sebebi sayıldı. I486’larda kullanılan takılar, SL olarak işlemcinin küçük bilgisayarlarda düşük gerilimle çalışmasından dolayı,SX dahili matematik işlemcisinin olmaması ve DX, matematik işlemcisinin ve ayrıca ön-belleğinin bulunması sebebiyle takıldı. Mikroişlemci önünde çarpan olarak kullanılan 2 ve 4’ler o işlemcinin dahili hızının gerçek hızdan iki veya dört katına çıkarılmış olmasıdır(Gerçekte işlemci içindeki saat frekansları normal hızın 2,2.5 veya 3 katına çıkarılması demektir. Fakat intel üç katına çıkardığı hızlara 4 demektedir).Dikkat edilirse normalde işlemci ya25’dir ya 33’dür.

 

              3-)Mikroişlemcinin doğrudan adresleyebileceği bellek büyüklüğü.

Bilgisayar sistemlerindeki ana bellek mikroişlemci tarafından adres yolu vasıtasıyla adreslenir. Adres yolu hattı ne kadar çoksa adresleme kapasitesi de ona göre büyük olur. Adres yolu doğrudan mikroişlemci yapısıyla  ilgili olup mikroişlemciye göre standarttır. Fakat işlemci içerisindeki kaydedicilerin büyüklüğü bir devre oyunuyla adres yoluna çoğullanmakta ve büyütülebilmektedir. XT tipi bilgisayarlarda kaydediciler 16-bitlik olmasına rağmen adres bilgisi dört bit kaydırılarak 20-bitlik hatta verilip,1 MB’lık bellek adreslene bilmektedir. AT tipi bilgisayarlarda 24,32,46-bitlik adres hattı kullanılarak gerçek modda 4 Gigabayt ve korumalı modta 70 TeraBayt  adreslenebilmektedir.

 

2Á¼ Kilobayt,2ª¼Megabayt,2£¼Gigabayt ve 2¢¼Terabayta karşılık gelir.

 

Yukarıda anlatılan üç temel özellik yanında mikroişlemcileri dolaylı olarak etkileyen bitçok unsurlar vardır. Bunlar:

 

                4-)Kullanıcı veya programcının mikroişlemci üzerinde çalışabileceği kaydedici sayısı ve farklı tipleri.

 

Kullanıcı verileri bu kaydediciler üzerinde çalıştırır. Kaydedici sayısının fazla olması manevra kolaylığı ve elastikiyet sağlar. Genel amaçlı kaydediciler (AX,BX,CX ve DX ),işaretçi ve indeks kaydedicileri(SP,BP,SI,DI,IP),bayrak kaydedicileri (C,P,A,Z,S,T,D,I,D,O,IOPL,NT,RF,VM,AC) ve segment kaydedicileri (CS,DS,ES,SS,FS,GS). Bunların haricinde korumalı modda  kullanılan Selektör ,Tanımlayıcı ve bunlara ilişik olarak tablo kaydedicileri vardır(TR,LDTR,GDTR,IDTR).

 

               5-)Programcının elde edebileceği değişik tipteki komutlar.

 

Mikroişlemci hızını etkileyen komutlar , veri manevra komutları ,Giriş/Çıkış komutları ,Aritmetik komutlar,Mantık komutları ve Test komutları gruplarından birisine dahildir. Mikroişlemcinin kütüphanesin de bulunan komutların çokluğu sisteme belki elastikiyet sağlar fakat ,asıl olan komutun az saykılla işlemi tamamlamasıdır.

 

              6-)Programcının bellek adreslerken gerek duyacağı farklı adresleme modları.

 

Doğrudan adresleme, Dolaylı adresleme ve ndeksli adresleme gibi adresleme türleri programcıya ekstra koolaylıklar sağlar. Adresleme modları ,üzerinde çalışılan bir datanın  belleğe nasıl ve ne şekilde yerleştirileceği veya üzerinde çalışılacak bir datanınnbellekten nasıl ve hangi yöntemle çağrılacağıdır. Bu işlem bir mektubun gideceği yere eliyle mi ,bir nesne baz alınarak mı, sokaklar ve evler eklenerek mi gibi kısa bir tarifle ulaşmasıdır.

 

              7-)Uygulamalar için sistemin yazılım uyumluluğu.

 

CP/M,DOS,MacOS,SİSTEM7,WINDOWS,UNIX ve OS/2

 

              8-)İlave edilecek devrelerle uyumluluğu.

 

Mikroişlemcili sisteme eklenecek devrelerin en azından işlemci hızında çalışması gerekir. Sisteme ilave edilecek bir SİMM  veya SİP kartındaki bellek entegrelerinin hızları nanosaniye(ns) cinsinden işlemci ile aynı hızda olması tercih edilmelidir. Aynı şekilde sisteme takılan ekran kartının hızlandırıcısı ve VideoRAM'l’rın(VRAM) hızları ve performansları mikroişlemci ile aynı veya çok akın olmalıdır. Mikroişlemcinin çok hızlı çalışması diğer elemanlardaki pasiflikten veya hastalıktan zamanla düşer.  

 

              9-) Sitemi tasarlayanın kullanabileceği değişik tipteki destek devreleri.

 

PIA,ACIA,CO-PROCESSOR,CACHE memory ,DMA gibi.

 

Yukarıda sıralanan bellibaşlı mikroişlemci özelliklerinin yanı sıra,işlemci besleme gerilimi(2.9V,3V veya 5V),mikroişlemcinin büyüklüğü,harcadığı enerjiyle birlikte ısınarak soğutucu gerektirmesi,Paketlenmiş ön-bellek 256 KB SRAM ve pipeline özellikleri (komutları çalıştırma işleminin hızlandırma gayesiyle safhalara bölünerek gerçekleştirilmesi işlemi) sayılabilir.

                     

 

 

     MİKROİŞLEMCİ DESTEK DEVRELERİ

            

 

Mikroişlemcili sistemlerin mikroişlemciden başka performansını artıran elemanlara daha çok eklenebilir. Bunlar sistemin elastiki olmasını ve diğer devrelerle uyumlu çalışmasını sağlar. Belli başlı destek devreleri şunlardır.

 

ORTAK İŞLEMCİ  

 

Diğer bir adı da  Matco/FPU olan bu eleman, ana mikroişlemci bazı matematiki işlemlerde (floating point gibi) veya nokta yoğunluğu artan grafik işlemlerinde yavaşladığında otomatik olarak iç kontrolü vasıtasıyla devreye girerek performansı düşürmez. Mesala,yoğun hesap işlemlerinin yapıldığı  ve LOTUS,EXEL gibi muhasebe paket programlarının kullanıldığı veya AUTOCAD gibi programlar kullanılarak hazırlanan mimari çizimlerin yapıldığı bilgisayarda ortak işlemci kullanmak gereklidir. Bunların yerine amulatör programları aynı performansı sağlamasa da kullanılabilmektedir.   


 

                                            Şekil-4 : Ortak İşlemcilerin Sistemdeki Yeri

 

286 ve 386 – 020 ve 040’lara kadar ortak işlemciler ana işlemciden ayrı (80287,30387 gibi)olarak pazarlanmaktaydı, fakat günümüz mikroişlemcilerinde artık ortak işlemci ana işlemcinin bir köşesini işgal etmektedir.

 

                                   PARALEL İŞLEMCİ

 

Bazı sistemlerde ana işlemciye paralel olarak konulan bu destek elemanı,sistemin aşırı yüklenmesi veya uzun süre çalışmasından dolayı hız yavaşlamasının ortaya çıkmasıyla kendisini gösterir. Tek bir işlemci üzerindeki saatlerce süren çalışma o işlemci üzerinde ısınma meydana getirerek sistemin yavaşlamasına bundan dolayı da  performansın azalmasına sebep olur.  Bu gibi durumlarda birinci işlemci ile aynı özelliklere sahip ikinci bir işlemci sisteme dahil edilerek bu problemin üstesinden gelinir.Birinci işlemcideki küçük bir hız düşmesi sistem tarafından algılanarak hemen o anda yedekte bekleyen ikinci işlemci devreye sokulur. Daha sonra ikinci işlemci hız azalması gösterdiğinde bu defa dinlenmiş ve soğumuş olan birinci işlemci devreye sokulur. Bundan da anlaşıldığı gibi mikrobilgisayarlarda bu gibi hız azalmasına sebep olacak büyük programlar kullanılmadığından,paralel işlemciler büyük boy bilgisayarlarda veya çok büyük boy bilgisayarlarda kullanılmaktadır. 1995 yılında PC bilgisayara da girmiştir.


                                                   Şekil-5 :Paralel işlemci bloğu

 

Günümüz  Mikroişlemcileri

            

                  Ön Bellek

 

Bilgisayarlarda programların işletiminden önce belleğe yüklendiğini belirtmiştik. Bilgisayarın ana bellekleri  DRAM(Dinamik rastgele erişimli hafıza-Dynamic Random Access Memory)türündendir.. Rastgele erişim kelimesi farklı farklı  adreslere ardarda erişimin mümkün olması sebebiyle verilmiştir. Dinamik kelimesi ise DRAM’lerin üzerlerindeki veriyi tutabilmek için sürekli olarak tazelenmeye ihtiyaç duyması sebebiyle bu tür hafızaları tanımlarken kullanılır.  Günümüz dinamik bellekleri  133Mhz saat hızıyla sürülebilmektedirler.  Ancak 800Mhz işlemcilerin ortaya çıktığı günümüzde  işlemci bellekten bir veriyi okumak için  100 Mhzlik DRAM ünitesini beklerse çok kıymetli olan süresinin çoğunu bekleyerek geçirecek  demektir. Bu duruma hafıza darboğazı(memory bottleneck) adı verilir. Bu problemi çözmek için yüksek hızlı cep bellekler(cache memory) geliştirilmiştir. Cep bellekler yüksek saat hızlarında sürülebilirler,SRAM(Static random access memory)  türündendirler ve veri yolları da RAM’e göre daha geniştir. İşlemci herhangi bir hafıza adresinden bilgi okumak için önce cep belleğe bakar ,eğer buursa oradan okur bu duruma cep isabeti(Cache hit),bulamazsa ana hafızadan okur. Bu duruma da cep ıskası (Cache miss) adı verilir. Cep belleklerdeki isabetlerin ıskalara oranına  isabet oranı(hit ratio) adı verilir,bu oran ne kadar büyük olursa o kadar iyidir ve bellek erişimlerindeki hız o oranda artar. İsabet oranı pek çok parametreye bağlıdır,bunlar arasında cep bellek büyüklüğü,cep bellek mimarisi ve cep bellek saat frekansı sayılabilir. İşlemcilerde genelde iki kademeli bir bellek yapısı vardır ve ilk kademedekine L1(Level 1) ikincisine de L2(Level 2) cep bellek adı verilir. L1 genelde küçük boyutludur ve işlemci saat frekansı ile aynı hızdadır. L2 cep belleklerinin hızı işlemciden işlemciye farklılık gösterir ve L1’e göre oldukça büyüktür.

 

80-20 kuralı ve Refaransların Yerelliği Prensibi (Locality of References)

 

Cep belleklerin büyüklüğü genelde sistem belleğinin %1-2 si kadardır. Peki bu kadar küçük bir hızlı cep bellek nasıl oluyor da sistem performansını dramatik şekilde arttırabiliyor? Programlarınızın  büyüklüğü onlarca megabyte’ı buluyor ancak 256 Byte’lık bir cep bellek sistemin hızında inanılmaz artışlar sağlayabiliyor. Bunun sebebi referansların yerelliği (Locality of Reference) prensibidir.    

80-20  kuralı olarak ta adlandırılan prensibe göre bir programın işletilmesi sırasında geçen sürenin %80’i programın %20 lik bir bölümünde harcanır,zamanın %20 side programın geri kalan %80’inde geçer. Bunun sebebi de açıktır,programın işletimi sırasında harcanan zamanın büyük bir 

bölümü genelde döngüler ve fonksiyon çağrılarından da harcanır. Bu döngüler ve fonksiyon çağrıları da program bütününün küçük bir parçasını oluşturur. Bu sayede programın çoğu zaman küçük bir bölümü işletimde olduğundan Cep bellek işaret oranı çok yüksek olur. Bu oran %90’lara kadar çıkabilir. Modern bir mikroişlemci okuma işlemlerinin %90’nını doğrudan bellek üzerinden yapar,ana belleğe erişimler nadirdir. Belleğe geri veri yazma konusunda genelde doğrudan ana belleğe yazma (write through) metodu   kullanılır.

 

Cep bellek parametrelerinin işlemci performansına çok ciddi etkileri vardır,günümüz mikroişlemcilerin den bazı çarpıcı örnekler verelim;


Şekil-6 Bazı işlemcilerin cep bellek değerleri

     

Bunların yanında 2MB’ye kadar cep belleğe sahip olabilen İntel Xeon ve 2MB L3 cepe sahip olan K6-3 te değişik örnekler olarak karşımıza çıkıyor.

 

Cep belleklerin mimarileri de birbirinden farklı olabilir. Genelde  genel de Referansla yerelliğinden faydalanabilmek amacıyla cep belleğe ana bellekten  bir okuma yapılacağı  zaman tek bir veri değil aranan veri ve onu takip eden bir blok veri kopyalanır. Bu sayede bir ıskalanan bir adresin hemen ardından yapılan okumalarda isabet sağlanmış olur.

 

İş hatları-Pipelining

 

Bir komutun işlenmesi kabaca 4 aşamadan oluşur,birincisi komutun bellekten okunması (Fetch) ikincisi komutun çözümlenmesi,(Decode) yani hangi komutun ne anlama geldiğinin anlaşılması,Üçüncüsü komutun işletilmesi (Execute) ve son olarak ta sonucun geri yazılmasıdır(Retire). 8086 mikroişlemcisin de işlenen komutlar bu sırayı takip eder,önce komut okunur,ne olduğuna bakılır,gerekli registerler ayarlanı,işlenen işletim ünitesine sokulur ve sonuç alınır. Her komutun işletim süresi bellidir,bazı komutlar 1 saat darbesinde tamamlanırken bazı komutların tamamlanması için onlarca hatta yüzlerce saat darbesi gereklidir. Mikroişlemci dünyası işhattı(pipelining)  kavramıyla tanışınca tüm hesaplar alt üst oldu.

 

Henry Ford Model T arabalarının üretimini artırmak için işhattı(assembly line) kavarmını ortaya çıkarmıştı,buna göre arabanın üretimi sırasında yapılması gereken tüm işlemler bir bant üzerinde sadece tek bir işle görevlendirilmiş işçilerin çalışmasıyla gerçekleşiyor,bandın başından sonuna gidene kadar araba tamamlanıyordu. Mikroişlemcilerde de bir komutun işlenişi çeşitli safhalardan oluştuğuna göre aynı taktiğin işlememesi içinde bir sebep yok. Arka arkaya gelen 10 komut düşünelim K1,K2,K3......K10 olsun. İşhattı(pipeline )olmayan bir sistemde bir K komutunun işlenmemesi için de n adet saat darbesi gereksin,basit bir çarpmayla bu tür bir sistemde bu tür bir komutun işhatsız bir işlemcide işlenmesi için gereken süre 10*n olacaktır. İyi düzenlenmiş bir işhatlı sistemde  de teorik olarak aynı komutun işlenmesi için geçen süre 2n+10 saat darbesi olacaktır. Birinci komut işhattının ikinci aşamasına geldiği zaman  ikinci komut işhattının birinci aşamasına girer, ikinci işletilip iş hattından çıktığı zamansa  n numaralı komut iş hattına girmiş  olur. Son komutun işhattından çıkması  da n saat darbesi süre alacağından 2n+10(komut sayısı) sonucu elde edilmiş olur. Eğer yeterince fazla komut işletilecekse x sayıda komutun işletilmesi sadece x saat darbesinde hallediliş olur. Yani sanki tüm komutlar 1 saat darbesinde işletiliyormuş gibi davranır. Bu muhteşem bir fikirdi Pentium işlemcilerinde 6 kademeli,Pentium Pro da da 13 kademeli bir işhattını İntel işlemcilerine yerleştirdi. İntel Pentium Pro ve sonraki işlemcilerinin FPU’su (kayan noktalı sayıları işleyen ünite )iş hatlıdır ve normalde çok uzun sürecek olan virgüllü sayıların çarpma ve toplama gibi işlemlerinin işleniş hızı çok artırılmıştır. AMD K6 serisi işlemciler kayan noktalı işlemleri hızla gerçekleştirse de işhatlı olmadığından  kayan noktalı işlemlerde Pentium 2-3 serisi işlemcilerden geri kalmaktadır. AMD Athlon ise Pentium’lara göre daha gelişmiş bir FPU iş hattı yapısına sahiptir.  

 

Ancak işhatlarının işletimi her zaman bu kadar mükemmel yürümüyor. Hatta bazen işhattı kullanımının yan etkileri bile olabilir. Gerçek bir programda çoğu zaman birbirine benzeyen komutlar yukarıda anlatıldığı gibi arka arkaya gelmez. Şu durumu düşünelim gene 10 komutumuz olsun ancak 6. Komut 2. Komutun sonucunu kendisi için kullanmak istiyorsa işletim (Execution)

Aşamasına girmeden önce 2. Komutun tamamlanıp sonucunun ortaya çıkmasını beklemek zorundadır. Bu bekleme durumuna Pipeline Stall adı verilir. Tüm program işletimi bu sırada beklemeye alınır ve performans düşer.

 

İkinci ve daha kötü bir durum ise şartlı dallanma komutlarının işhattına girmesiyle ortaya çıkar. Şartlı dallanma komutları iş hattına girdikten sonra eğer işhattına alınan komutlardan farklı bir yere dallanıyorsa dallanma komutundan sonra işhattına alınmış bütün komutların işhattından boşaltılması ve dallanılan yerdeki komutların alınmaya başlaması gerekir. Bu duruma Pipeline Flush adı verilir ve 2n kadar zamanın boşa harcanmasına sebep olur.

 

Pentium 2 deki gibi derin bir işhattının sürekli olarak beklemeye uğraması performansa ağır bir darbe indirebilir. İntel 902’larin ortasında çıkardığı Pentium Pro işlemcisinde bu tür bir sorunla karşılşmıştı. Pentium Pro 32 bitlik  komutlar için optimize edilmişti ve işhattıda buna göre ayarlanmıştı bu yüzden çok gelişmiş mimarisine karşın 16 bitlik uygulamalarda selefi Pentium işlemcisinin gerisinde kalmıştı.

| ana sayfa | bulanık mantık | yapay zeka | pic | plc | paralel port | mikroişlemciler | assembly | programlama temelleri | elektronik dergiler | program örnekleri |
| universiteler | resmi kurumlar | sertifika programları | download siteleri | iletişim sistemleri | fotoğraf albümü | özgeçmiş | mesaj panosu| arama motorları | mezunlar

 

Sahibi © Öğr.Gör.BÜLENT ÇOBANOĞLU
Tasarım ve Kodlama © BİLGİSAYAR PROGRAMCISI MEHMET YAVAŞ

İçerik hazırlama: BÜLENT ÇOBANOĞLU
Bu siteyi en iyi IE4.0 ve üzeri browser, 1024x768 ekran genişliği ve yüksek renk modunda izleyebiliriniz.
                                                Her hakkı saklıdır.